News

From an EDA perspective, modern history starts with a schematic representation of the design. This was a gate level design with explicitly defined interconnect. ... Synopsys’ Design Compiler accepted ...
At the same time, using the right Verilog-A compiler provides fast execution and support for all analysis types to speed up the simulation further and allow top-level design in analogue systems. This ...
Synopsys, Inc. today announced that AMD is deploying Synopsys' Fusion Compiler™ RTL-to-GDSII product for its full-flow, digital-design implementation. This work has additionally resulted in an ...
Dolphin Design’s memory compiler technologies include high-quality ROM, SRAM, and Register File compilers. The compilers are optimized for high density and low power while providing great degree ...
"The decision to rely on IC Compiler for block as well as hierarchical design is an excellent testimonial to our close collaboration and the strength of IC Compiler to meet their challenging design ...
Designed for fixed broadband wireless communications equipment, the SM7060 contains 20 times more gates and was designed in 30 percent less time than SiCOM's previous design. Fast Synthesis Speeds ...